%A Friedrich Winkelhage %A Gerhard Eschenroder %T Present status and future development of information management %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 1-10 %A Isidre Rosello %A Antonio Rubio %T A mechanism for detection and recovery from transient failures in industrial controllers %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 11-15 %A N.D. Francis %T Generation of random numbers on micros - a simulation study %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 17-19 %A D.Q.M. Fay %T Implementation of the bounded buffer algorithm on 8-bit microcomputers %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 21-33 %K occam %A Arthur Tommelein %A Jacques Tiberghien %T A comparison of compiler-generated code %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 47-56 %A V. Milutinovic %T A 4800 bit/s microprocessor-based CCITT compatible data modem %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 57-74 %A Marcin Gorawski %A Brunon Gabrys %A Ryszard Winiarczyk %T On the industrial phase of the development of a process control microcomputer system %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 75-83 %A Yiu-Sang Moon %A Wing-Hong Cheung %T Design and implementation of a distributed processing environment with personal computers connected to a time-sharing system %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 85-93 %A Helmut Berndt %T Microprocessors in switching system control %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 113-118 %A Fabio Somenzi %A Silvano Gai %A Marco Mezzalama %A Paolo Prinetto %T Testable design with PLA macros %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 119-128 %A Bernhard Holtkamp %T UNIX requirements for architectural support %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 129-140 %A Sergio Brofferio %T A modular architecture for adaptive predictive coders of digital television signals %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 141-151 %A M.P. Papazoglou %A P.I. Georgiadis %A D.G. Maritsas %A A.A. Dickie %T Multiprocessor interrupting and synchronizing concepts in the parallel SIMULA machine and their representation by Petri nets %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 179-190 %A P. Fey %A A. Antonov %T Real-time extension of a higher level programming language for microcomputers %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 191-194 %A M. De\ Blasi %A A. Gentile %A E. Luque %A A. Ripoli %T Self-tuning machines %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 195-201 %A Luca Rodda %A Giacomo R. Sechi %T Simulation as a design tool: implementation of systems by means of different technologies %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 203-211 %A Giorgio Cattaneo %A Vincenzo Piuri %A Nello Scarabottolo %A Franco Urero %T CHILL concurrency on Intel iAPX 432 architecture %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 233-251 %A Peter Naur %T Programming as theory building %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 253-261 %A Philip Barker %T Programming a video disc %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 263-276 %A Luca Rodda %A Roberto Savioni %A Giacomo R. Sechi %T A hierarchical architecture with independent processors for real-time systems %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 15 %D 1985 %P 277-287 %A G.G. Tchogovadze %T Some steps towards intelligent computer tutoring systems %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 1-5 %A Fabrizio Lombardi %T t-diagnosability for fault tolerant parallel systems %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 7-15 %A S.S.S.P. Rao %A J.R. Isaac %T Micro-store width optimization through bit steering %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 17-30 %A M. Periasamy %A M.V. Pitke %T A multilanguage terminal with microprocessor control %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 31-43 %A Alessandro Cagnola %A Marco Corti %A Giorgio Vignati %T LAPLACE: another second generation PLA design tool %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 61-66 %A F. Mundemann %A W. Hahn %T Algorithmic specification of MOSFET's for multi-level computer design languages %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 67-72 %A Christos A. Papachristou %A Danny Cornett %T Generation and implementation of state machine controllers: a VLSI approach %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 73-81 %A L. Spaanenburg %A M. Beunder %A F.A. Beune %A S.H. Gerez %A B. Holstein %A R.C.C. Luchtmeyer %A J. Smit %A A. van\ der\ Werf %A H. Willems %T MOD/R: a knowledge assisted approach towards top-down only CMOS VLSI design %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 83-88 %A Zainalabedin Navabi %T Generating gate level two phase dynamic MOS logic from AHPL %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 89-94 %A Predrag A. Vranes %T An implementation of a high-speed Gray-to-binary code converter %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 95-99 %A V.N. Doniants %A V.G. Lazarev %A M.G. Sami %A R. Stefanelli %T Reconfiguration of VLSI arrays: a technique for increased flexibility and reliability %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 101-106 %A F. Distante %A L. Galvani %A A. Maderna %A M. Minotti %T A software tool for microprocessor functional test %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 107-112 %A Ilan Shallom %A Helnye Azaria %T Architectural concepts of an optimal instruction set selection procedure machine %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 113-119 %A Edil S.T. Fernandes %T Parallelism description on target architectures: an approach %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 121-126 %A Helnye Azaria %A Yosi Veler %T Functional language directed data driven machine %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 127-132 %A J.H.M. Andriessen %T Supermatrix, an interconnection network %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 133-138 %A Zoran Damnjanovic %T Microprocessor controlled programmable telegraph channel provides slow frequency hopping %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 139-141 %A D. Medina %A V. Milutinovic %T An overview and a selected bibliography of the VLSI/microprocessor-based data modem design %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 143-161 %A M.J. Sanchez Rodriguez %A J.A. Mendez Rodriguez %A A. Nunez Ordonez %T The concentrating/diffusing system for a communications cluster controller %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 163-167 %A S. Nedic %A M. Vlajnic %A A. Purkovic %T Real time microprocessor based signal processing in modem 4800 bps %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 169-173 %A Lliljana B. Damnjanovic %T The software implementation of signature analysis %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 203-206 %A Wolfgang A. Halang %T An independent test plan processor aiding the approval of software written for embedded systems %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 207-210 %A Wim Dierickx %A Benoit Philips %T Rewriting programs to have a base for new software %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 211-214 %A Laszlo Fekete-Szucs %T Micro aided information system design %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 215-216 %A K. Sapiecha %A D. Banaszek %A R. Jarocki %T Backward error recovery with dynamic alternate selection %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 217-219 %A Boyan Yankov %A Stoyan Bonev %A Lilyan Nikolov %T A portable compiler for microcomputers %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 221-226 %A Fritz Mayer-Lindenberg %T Throughput simulation for a Fifth system employing several similar processing elements %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 227-229 %A C. Demartini %A L. Ciminiera %A A. Valenzano %T MONOSK: a network operating system for real time applications %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 231-237 %A Eugeniusz Eberbach %A Jan R. Just %T On fault-tolerance mechanisms in distributed computer systems %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 239-244 %A Marjan Djuric %A Anka Jocic %T A method for data acquisition, storage and sending applied to measuring instruments %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 245-248 %A Jaro Berce %T Event driven real-time operating system in intelligent network communicator %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 249-253 %A Janusz Sosnowski %T Transient fault tolerance in a data acquisition system %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 255-260 %A Dejan E. Lazic %A Perko J. Krstajic %A Zoltan Kasas %T Weighing multiplexing in data acquisition systems %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 261-265 %A Nikolaos G. Bourbakis %T A quadtree multimicroprocessor architecture for robot vision systems %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 267-271 %A Werner Kessel %A Manfred Klages %T Multi-microcomputer-system based on VME-bus %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 273-276 %A K.K. Bagchi %A P.K. Das %A B.B. Bhaumik %T A hierarchical design methodology for multiple microprocessor system %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 277-286 %A Nikolaos G. Bourbakis %T A real time, hierarchical multimicroprocessor image reduction system %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 287-293 %A Dusan Malbaski %A Veljko Malbasa %A Danilo Obradovic %T Analysis and practical considerations of an improved multimicroprocessor system %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 295-299 %A Jerzy Szynka %A Zbigniew Poznanski %T Cellular system based on single-ship-microcomputers %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 301-304 %A Mario Chientaroli %A Gabriele Gatti %T A system for real-time analysis and compact viewing of low-frequency quasi-periodic signals %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 305-311 %A M.J. Taylor %A W.A. Corbett %A J.R. Coughlan %A R. Shields %T Current work on the development of a distributed microcomputer-based patient record system %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 313-317 %A Sam Ben-Yaakov %A Hugo Guterman %T On line optimization of an arbitrary process by a personal microcomputer system: application in algae ponds %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 319-323 %A Miroslaw Thor %A Miroslaw Szturmowicz %T Interrupts in modular microprogramming %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 325-330 %A D.G. Whitehead %A A.G. Mason %T Low resolution vision sensing %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 331-334 %A Silvano Gai %A Fabio Somenzi %A Massimo Spalla %T Zero delay elements in logic simulation %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 335-339 %A Vaclav Dvorak %T A microprogrammed controller based on the logic processing unit %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 341-344 %A Paul Molitor %T Layer assignment by simulated annealing %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 16 %D 1985 %P 345-349 %A Ferenc Vajda %T Super micros - objectives and approaches %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 17 %D 1986 %P 1-17 %K Zilog Z80000, NatSemi 32032, Motorola MC68010, Intel 80286 Intel iAPX-432, Inmos transputer %A M. Balakrishnan %A B.B. Madan %A P.C.P. Bhatt %T A survey of microprogramming languages %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 17 %D 1986 %P 19-27 %A S. Upendra Rao %A A.K. Majumdar %T Analysis of a few list scheduling algorithms for compaction of horizontal microprograms %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 17 %D 1986 %P 29-40 %A Sadahiro Isoda %T Verification of microprogram transformation commands for a firmware editor (revised) %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 17 %D 1986 %P 61-76 %A Z. Blazek %A V. Janes %T Short note: microcomputer hardware education at a Chechoslovakian technical university %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 17 %D 1986 %P 77-78 %A Ala H. Al-Rabeh %A Manzer Masud %T Trends in the development of microcomputer hardware and software %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 17 %D 1986 %P 79-88 %A K. Keirn %A V. Milutinovic %T Analyzing the RISC in gallium arsenide %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 17 %D 1986 %P 119-128 %A Arumalla V. Reddi %T Microcomputer memory performance in a resource sharing distributed information processing environment %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 17 %D 1986 %P 129-137 %A Sergio Brofferio %A Maurizio Piacentini %A Stefano Tubaro %T An audio computer interface: a case study of structured electronic equipment design %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 17 %D 1986 %P 139-152 %A P. Lippitsch %A R. Posch %T MFD 2 - using serial I/O for a low cost flexible disk interface %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 17 %D 1986 %P 153-157 %A Fahir Ergincan %A Ali Saatci %T A stand-alone in-circuit emulator %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 17 %D 1986 %P 159-167 %A Avi Rushinek %A Sara F. Rushinek %T Microcomputer application diagnostic system for identification and evaluation of user satisfaction factors of purchasing software %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 17 %D 1986 %P 169-194 %A Tony Cornford %A Brian Hayes %T Designing a computer workstation for researchers in the quantitative social sciences %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 17 %D 1986 %P 195-203 %A Anna Natola %A Istvan Erenyi %A Nello Scarabottolo %T Transient fault management in systems based on the AMD 2900 microprocessors %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 17 %D 1986 %P 205-217 %A Paola Vetardi %A Alessandro Forcina %T Reliability analysis of multipath interconnection networks %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 17 %D 1986 %P 255-265 %A Yen Chao %T Multiple-microprocessor/microcomputer performance: what to acquire and how to evaluate: a status report %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 17 %D 1986 %P 267-276 %A Wolfgang A. Halang %T On methods for direct memory access without cycle stealing %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 17 %D 1986 %P 277-283 %A Massimo Annunziata %A Giuseppe Cima %A Paola Mantica %A Giacomo R. Sechi %T A daisy chain architecture for the multiprocessor real time data acquisition system of the Thor tokamak experiment %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 17 %D 1986 %P 285-296 %A L.T. Johansson %T A computer architecture suited to multiplex wiring systems in cars %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 1-4 %A Alberto Giordano %A Fausto Giunchiglia %A Massimo Maresca %A Tullio Vernazza %T Evaluation of a multiprocessor structure for image processing %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 5-17 %A Geza Allo %A Gabor Staszny %T Two algorithms for image segmentation %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 19-25 %A Geza Allo %A Gabor Staszny %A Laszlo Fero %T Colour picture converter for image processing %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 27-35 %A Plamen Georgiev Belomorski %T Pseudorandom self-testing of microprocessors %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 37-47 %A S. Ratheal %A F. Lombardi %T A software testbed for the design and evaluation of distributed computer systems %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 49-58 %A Daniel Tabak %T The Intel 80386 and new 32-bit microprocessors %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 59-74 %K Motorola MC68020 68020, NatSemi NS32332 32332, Zilog Z80000, AT&T ATT WE32100 32100 %A Francesco Gregoretti %A Zary Segall %T Analysis and evaluation of parallel rectangle intersection for VLSI design rule checking %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 85-100 %A Haron S. Andima %A Shem Arungu-Olende %T The use of microcomputers for financial modeling of a public utility system in a developing country %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 101-118 %A Mushtag Ahmad %T Implementable decimal arithmetic algorithms for micro/minicomputers %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 119-128 %A Mike Papazoglou %A Panayotis Pintelas %T A hierarchical multimicroprocessor system for object oriented languages %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 129-141 %K Hmmps %A Arumalia V. Reddi %T Shared mini/microcomputer memory performance at remote computer network nodes in large scale distributed computing systems %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 143-152 %A D. Del\ Corso %A P.L. Civera %A L. Reyneri %A C. Sansoe %T An integrated controller for modified inter-integrated circuit protocol %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 153-166 %A Dipak Ghosal %A L.M. Patnaik %T SHAMP: an experimental shared memory multimicroprocessor system for performance evaluation of parallel algorithms %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 179-192 %A S. Monchaud %A A. Palotasi %A R. Prat %T Microprocessor tasks for a laser range finding peripheral %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 193-201 %A K.W. Ng %A K.Y. Mok %T The high level language and operating system support features of advanced microprocessors, part I %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 203-218 %K Motorola MC68020 68020, NatSemi NS32032 32032, Intel iAPX386 80386, Zilog Z80000 %A K.R. Arora %A S.P. Rana %A M.N. Gupta %T Ring based termination detection algorithm for distributed computations %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 219-226 %A Sofyan A. Abdelhay %A M. Azharul-hague %T DC-motor control using a minimum-variance self-tuner %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 227-231 %A Theodor Tempelmeier %T Performance analysis of a microprogrammed real-time operating system with an interrupt-and-abort discipline %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 233-251 %A K.W. Ng %T The high level language and operating system support features of advanced microprocessors, part II %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 277-289 %A Sadahiro Isoda %T Machine-independent microprogram-address allocation through hierarchical structuring %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 291-304 %A M. Balakrishnan %A P.C.P. Bhatt %A B.B. Madan %T An efficient retargetable microprogram generating system %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 305-318 %A Kun Mean Hou %A Guy Fontenier %T U.T.C. multiprocessor hardware structure and software approach to design its monitor %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 319-326 %A M. Bina %A P. Bae %A P. Gaillard %T Pattern recognition machine: its conception and creation %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 327-333 %A Vincenzo Piuri %A Evgenij Tourouta %T About fault-tolerant allocation of tasks in multiprocessor architectures and system dimensioning %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 19 %D 1987 %P 335-349 %A Anna Antola %A Luca Breveglieri %A Nello Scarabottolo %T Designing and testing of a microprogrammed fault tolerant CPU %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 3-9 %A Riccardo Curti %T Tightly coupled multiprocessor systems with high availability exploiting fault-tolerance features %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 11-13 %A V. Pluri %A M. Berzieri %A A. Bisaschi %A A. Fabi %T Residue arithmetic for a fault-tolerant multiplier: the choice of the best triple of bases %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 15-23 %A Jan R. Just %A Eugeniusz Eberbach %T The synthesis of control algorithms for fault-tolerant distributed computer systems %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 25-32 %A M. Ancona %A A. Clematis %A G. Dodero %A E.B. Fernandez %A V. Gianuzi %T Using different language levels for implementing fault tolerant programs %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 33-38 %A Henryk Krawczyk %A Wojciech E. Kozlowski %T Fault diagnosis in distributed systems with incomplete tests %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 39-44 %A Piermario Rojatti %A Massimo Spalla %T Design and implementation of a Unix portable communication handler for a wide area network %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 47-54 %A R. Trobec %A T. Lesjak %A M. Subelj %T A resident X.25 software development %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 55-58 %A L. Ciminiera %A C. Demartini %A E. Nicola %A R. Samarotto %A A. Valenzano %T Design and implementation of an ISO/OSI session layer for a microprocessor-based LAN %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 59-64 %A E.V. Chernykh %A L.G. Efimov %A I.F. Kolpakov %A V.A. Smirnov %T VMEbus in relativistic nuclei research and control tasks %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 65-67 %A N.C. Burd %T The development and performance of a CMSA/CD network for low level distributed control %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 69-72 %A Hartmut Koke %T Integration of personal computers with DEC VAX systems: experiences with different Ethernet protocols in a scientific computation centre %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 73-76 %A Vittorio Cajani %T Architectural issues in designing a Unix multiprocessor system %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 79-84 %A Gerhard Schrott %T A generalized task concept for multiprocessor real-time systems %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 85-90 %A R. Ferrari %A R. Tagliabue %A L. Zoccolante %A S. Crespi Reghizzi %T Implementing the kernel for the concurrent distributed language MML on different microprocessors %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 91-97 %A Helnye Azaria %A Yosi Veler %T Machine instructions of a data-driven architecture - design considerations %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 99-105 %A J.H.M. Andriessen %T Polynomials on the Delft parallel processor %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 107-112 %K DPP DPP81 %A C. Siva Ram Murthy %A V. Rajaraman %T A multi-microprocessor architecture for solving partial differential equations %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 113-117 %A Milan S. Vlajnic %T WSI implementation of systolic arrays for GaAs %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 121-126 %A Donatella Sciuto %T A reconfiguration algorithm for delay minimization in VLSI/WSI array processors %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 127-132 %A Otello Bruschi %A Roberto Negrini %A Stefano Ravaglia %T Systolic arrays for serial signal processing %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 133-140 %A A. Rubio %T Design of easily testable iterative systems %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 141-146 %A B. Telles-Giron %A K. Ergang %A A. Hunger %T A combined testing approach for instruction-set-based data processing devices %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 147-152 %A Bernd Becker %A Holger Soukup %T CMOS stuck-open self-test for an optimal-time VLSI-multiplier %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 153-157 %A Werner Grass %A Rainer Buscke %A Reinhard Rauscher %T BOSS - a functional-block oriented intermediate language for RT-level hardware descriptions %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 161-165 %A Bakowski Przemyslaw %A Pawlak Adam %T LIDO - a silicon compiler preprocessor %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 167-171 %A Paolo Lusiani %T Dallas: design automation language for logic array structures %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 173-177 %K PLA %A Helmut Mahler %A Martin Albert %A Utz G. Baitinger %T Picasso: an experimental CAD tool for the parametrization of PLA control structures %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 179-182 %A M. Ancona %A A. Clematis %A L. De\ Floriani %A E. Puppo %T A hardware description language based on a hierarchical graph model %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 183-188 %K HIDEL %A F.J. Schmitt %T Module design for VLSI - heuristic to optimize layout topology %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 189-193 %A E. Luque %A J. Sorribes %A A. Ripoll %T Coprocessor for real-time dynamic vertical migration %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 197-202 %A Enrique Mandado %A Julio Bernardez %A Jose Farina %T Hardware for automatic nested loop control in microprogrammable systems %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 203-208 %A Nelson Q. Vasconcelos %A Felipe M.G. Franca %A Edil S.T. Fernandes %T Design of an Edison virtual machine - from a HLL specification to a microprogrammed implementation %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 209-216 %A Jacques Menu %A Eduardo Sanchez %A Patrick Sommer %T HIP, a general heap processor %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 217-222 %K Newton %A Ferruccio Zulian %A Tiziano Maccianti %T Design of a VLSI 32-bit microprocessor to be used on the CPU (IDP) of the Honeywell DPS4 follow-on computer %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 223-226 %A Yen Chao %A Xu Jia dong %A Gong Wei Ting %T The TP microcomputers in China: how little workhorses from a small laboratory become widely used in a huge country %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 227-231 %A M. Nicolaidis %T Evaluation of self-checking version of the MC 68000 microprocessor %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 235-247 %A John Paul Shen %A Stephen P. Thomas %T A roving monitoring processor for detection of control flow errors in multiple processor systems %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 249-269 %A A.M. paschalis %A C. Halatsis %A G. Philokyprou %T Concurrently totally self-checking microprogram control unit with duplication of microprogram sequencer %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 271-281 %A Ping Ni %A Kaiyuan Huang %T Parallel testing for system diagnosis and a scheduling algorithm %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 283-286 %A J. Osmany %A O.J. Davies %T A fault tolerant controller for high integrity systems %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 287-299 %A M. Dal Cin %A R. Brause %A J. Lutz %A E. Dilger %A Th. Risse %T ATTEMPTO: an experimental fault-tolerant multiprocessor system %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 301-308 %A Jordi Duran %A Tulkin Mangir %T Application of signature analysis to the concurrent test of microprogrammed control unit %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 309-322 %A S.E. Kreutzer %A S.L. Hakimi %T System-level fault diagnosis: a survey %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 323-330 %A Miroslaw Malek %A Kitty Hiu Yau %T Cost-effective error detection codes in microcomputer networks %J Microprocessing and microprogramming %K mpmp, micro euromicro %V 20 %D 1987 %P 331-343